Compiler

Enable SignalTap II Logic Analyzer



Select this option to compile a SignalTap® II File (.stp) with your project. Turning on this option enables the SignalTap II Logic Analyzer and allows you to specify an STP File for compilation.

- PLDWorld -

 

Created by chm2web html help conversion utility.